Thursday, Mar 28, 2024
logo
Update At 14:00    USD/EUR 0,92  ↑+0.0002        USD/JPY 151,69  ↑+0.174        USD/KRW 1.347,35  ↑+6.1        EUR/JPY 164,16  ↑+0.143        Crude Oil 85,49  ↓-0.76        Asia Dow 3.838,83  ↑+1.8        TSE 1.833,50  ↑+4.5        Japan: Nikkei 225 40.846,59  ↑+448.56        S. Korea: KOSPI 2.756,23  ↓-0.86        China: Shanghai Composite 3.015,74  ↓-15.745        Hong Kong: Hang Seng 16.512,92  ↓-105.4        Singapore: Straits Times 3,27  ↑+0.018        DJIA 22,58  ↓-0.23        Nasdaq Composite 16.315,70  ↓-68.769        S&P 500 5.203,58  ↓-14.61        Russell 2000 2.070,16  ↓-4.0003        Stoxx Euro 50 5.064,18  ↑+19.99        Stoxx Europe 600 511,09  ↑+1.23        Germany: DAX 18.384,35  ↑+123.04        UK: FTSE 100 7.930,96  ↑+13.39        Spain: IBEX 35 10.991,50  ↑+39.3        France: CAC 40 8.184,75  ↑+33.15        

“Every semiconductor in the world passes through our equipment”

Interview - December 7, 2022

A leading supplier of manufacturing equipment, TEL’s role in the advancement of semiconductor processing cannot be understated. “No cutting-edge semiconductor chip can be made without TEL’s technology,” says president and CEO, Toshiki Kawai.

TOSHIKI KAWAI, PRESIDENT AND CEO OF TEL
TOSHIKI KAWAI | PRESIDENT AND CEO OF TEL

After dominating the semiconductor industry from the 80s to the 90s, Japanese manufacturers experienced a steep decline in the 2000s as new entrants and technologies revolutionized the market. Today, despite an incredible decline in fab capacity and chip production, Japan is responsible for providing 40% of globally consumed semiconductor materials and 30% of semiconductor production equipment. What is the role of the Japanese semiconductor industry today?

The world we live in faces numerous challenges. In recent years, we experienced major disruptions, most notably the COVID-19 pandemic, the conflict in Ukraine, as well as natural catastrophes caused by severe environmental deregulations.

To define this time of turmoil, there is an acronym: VUCA, which stands for volatility, uncertainty, complexity and ambiguity. In order to successfully navigate and surpass these tumultuous times, it is important for businesses and organizations to identify and capture mega-trends.  To develop a resilient, robust and prolific economy, new technologies and new ways of operating will be required. As the shift toward a data driven society accelerates and efforts to solve global environmental issues progress, “Digital × Decarbonization” has become a major trend worldwide.

Semiconductor products are found everywhere; in our vehicles, electronic devices, factories and homes. Because of this ubiquity, the semiconductor industry has a crucial role to play in developing the innovations that will allow our society to overcome this period of VUCA.

The world is currently pushing firmly ahead with implementing ICT and DX as well as taking action to realize a carbon-free society in order to build a strong and resilient society in which economic activities do not stop under any circumstances.

I believe that the key approaches in such efforts are “Green by Digital” and “Green of Digital”. “Green by Digital” is realizing a green society by leveraging digital power such as leading-edge ICT, while “Green of Digital” is promoting the reduction of energy consumption in digital infrastructure including data centers. The technological evolution of semiconductors is indispensable to realize both of these.

As the pace of digitalization continues to accelerate, semiconductors are bound to play a leading role because they will support and enable the creation of new applications. These novel applications will not be limited to a specific sector or region, rather, they will revolutionize the way we communicate, interact and live. Examples of these applications include the metaverse, remote medical care and new means of mobility.

These new cross-sectorial applications will create an increased demand for semiconductor products. As such, innovation in the semiconductor industry will necessarily involve the development of manufacturing techniques that allow for the production of a larger volume of chips, with each chip having higher speed, higher reliability, a larger capacity and a lower energy consumption.

As a global leader in the production of semiconductor manufacturing equipment and of semiconductor materials, Japan will have an important role to play in turning innovative ideas into realities.

 

In 2022, TSMC began building its first Japanese foundry in the Western Prefecture of Kumamoto as a joint venture with Sony Semiconductor Solutions. Interestingly, this is the first time that TSMC has allowed a foreign firm to hold a significant stake in one of its foundries. What makes the Japanese ecosystem an interesting option for opening new fabs?

This is my personal opinion, but I believe that this collaboration makes complete sense as it allows Japan, Sony and TSMC to combine their respective strengths. Interestingly, these strengths are different yet complementary.

 

A typical fab makes about 2 million ICs per month, gulping some 20 million gallons of water and 100 megawatts of electricity per hour. As fab capacity increases, factories must find ways to lessen their environmental impact. How do your products and technologies contribute to lowering the environmental impact of fabs?

To reduce the environmental impact of semiconductor products, a multitude of advanced technologies are required of semiconductor equipment makers. After decades and decades of technological innovation, advances in semiconductor products now come from technological breakthroughs in patterning processes, which occur at the nano-level. The question then becomes: who can provide holistic solutions to support the development of these new processes?

At TEL, we are the only company in the world capable of providing solutions to the four key front-end processes of semiconductor production, namely, deposition, coating/development, etching, and cleaning.

TEL has equipment for all of these key processes. What’s more, each product in our line-up has either the first or second market share… in the world! When we talk about next-generation products ‐ our coater/developer for EUV lithography, our market share is 100%.

Virtually, every semiconductor or display in the world passes through our semiconductor production equipment. Simply put, no cutting-edge semiconductor chip can be made without TEL’s technology.

TEL is celebrating its 60th year of its founding in 2022. And 2023 marks the 60th Anniversary of TEL. For this milestone, we have unveiled a new corporate vision: A company filled with dreams and vitality that contributes to technological innovation in semiconductors.

Famous academic and Harvard professor Michael Porter once theorized the necessity to create and abide by a set of “shared values;” values that are embraced on a global level. When articulating his vision, he believed that the creation of “shared values” agreed upon by all was key to the advancement of mankind.

TEL's new vision is based on the idea of Creating Shared Value: CSV is the concept of creating social and economic value by leveraging corporate expertise to solve social issues, thereby enhancing corporate value and achieving sustainable growth. We will continue to develop our business activities based on the idea of TSV: TEL's Shared Value, which is to contribute to technological innovation in semiconductors.

 

Two weeks ago, IBM announced that it developed a new method for stacking silicon wafers vertically; a method that was co-developed with TEL. Traditionally, 3D stacking had only been used in high-end operations, such as High-Bandwidth Memory (HBM). This is the first time that 3D stacking can be done on a silicon wafer of 300mm. How long had you been working on that project?

We pride ourselves in leading the semiconductor equipment manufacturing industry at a global level. As a leading firm, developing strong partnerships with other global giants of the industry is more than a priority, it is engraved in our corporate DNA.

As a manufacturer of equipment, TEL does not directly produce semiconductor devices. Consequently, it is crucial for us to partner with global leaders in device fabrication. For four generations, TEL has been creating roadmaps with corporate and research partners, seeking to assist device manufacturers in pushing the boundaries of semiconductor technology.



On June 9, 2022, you were quoted in a Nikkei Asia article where you explained that TEL would continue to manufacture its products domestically. Interestingly, this is happening at a time where chip production is becoming more international, with new foundries opening across continents. What are the advantages of keeping production domestic?

Keeping our production, R&D and design bases in close proximity plays a crucial role both in our capacity to innovate and in our ability to maintain a world-class workforce.

By keeping our development team and manufacturing team in close proximity, we are able to emphasize "concurrent engineering," in which product development and mass production run in parallel and are ideally located near each other.

This geographic proximity allows us to incorporate design, quality control and mass-production ideas at the development stage, thereby enabling us to react swiftly to new ideas and to maintain technological leadership.

The semiconductor industry deals with critical technologies, so protecting trade secrets and data leaks is paramount. By keeping manufacturing and supply centralized in Japan, we also increase the level of technical information security.

Furthermore, our model of “concurrent engineering” creates a working environment where employees feel a higher level of morale and a greater sense of responsibility, motivation and leadership and this in turn creates pride among employees to produce the best products leading to a highly productive work environment. Our teams are proud of their work and inspired by the equipment they manufacture. This translates into an extremely low ratio of staff turnover. Within Japan, the percentage of our workers who leave their jobs is less than 1%.

Our low turnover ratio bolsters our ability to safely handle critical information, and many of our clients are encouraged to trust us because of this exceptionally low turnover. The ability to retain a competent workforce is one of our greatest competitive advantages, especially when compared to our European or American counterparts. The loyalty and commitment of our engineers plays an important role in ensuring technological information security and is the reason why our clients trust us.

TEL also adopts a transparent attitude with its suppliers, sharing production information and plans. This combination between geographic proximity and transparency has led several of our suppliers to open their own production bases around our factories, which further increases the reliability and resilience of our procurement strategy.

If you were to visit one of our factories here in Japan, where development and manufacturing are done in the same location, you would see that many of our suppliers have set up offices and factories near to us, thereby creating a close-knit ecosystem.

In light of the logistic disruptions caused by the COVID-19 pandemic and repeated supply shortages, now more than ever, our ability to efficiently communicate with each of the players involved in our supply chain is another strength of ours.

 

One of the most talked about topics in the semiconductor industry is the regionalization of production. In response to chip shortages, international governments are attempting to attract foundries domestically. In the European Union, Brussels seeks to increase Europe’s production market share from 10% to 20%. In the United States the CHIPS Act has received bipartisan support and includes a $52 billion incentive to expand American semiconductor production. How will Tokyo Electron respond to this increase in production capacity from around the world in the years to come?

As demonstrated by the new plants being built in Kumamoto and elsewhere, one of the world's current megatrends is the increasing importance of semiconductor production. As a semiconductor equipment manufacturer, the fact that chips are in higher and higher demand is something that we welcome.

That said, we are not in a position to comment on the specific strategies of each government or on the geopolitical situation of each country. What I can say is that all international actors are aware that the importance of the semiconductor industry will only continue to grow.

Semiconductor innovations will unlock new applications and their importance will only expand. From that perspective, our company’s objective is to maintain its innovative capacity in order to drive semiconductor innovation on a global level.

 

Over the last decade, demand growth in the semiconductor industry has mainly been driven by applications such as smartphones, consumer electronics and automotive. Looking at the next 10 to 20 years, what applications will be the new vectors for growth?

That is a very interesting question. Rather than considering this question on the level of semiconductor applications, I would like to respond to it on the level of computing technologies.

Currently, the de facto standard when it comes to computing is to use bits, which are used to process mathematical calculations. However, we are currently seeing the adoption of quantum computing and the emergence of neuron-based AI computing. As we advance towards 2030, I believe that in addition to the bit-based computing that is currently standard, growth in the semiconductor market will be driven by new computing techniques, especially neuron-based and quantum computing.

Looking further ahead to the years between 2030 and 2040, we can expect to see advances in computing such as quantum-, neuron- and bit-based computing methodologies. These advances will inevitably lead to the emergence of countless new applications. We can expect to see advances in computing such as quantum-based, neuron-based, and bit-based computing.

In our data-driven society, the volume of data communication is increasing by 26% per year. If data volume continues to increase at an annual rate of 26% after 2030, it is expected to be 100 times the current level by 2040. To sustainably achieve this volume, we will require semiconductors that are faster, more powerful, more reliable and that consume less energy.

 

The semiconductor industry is known for being both investment and research heavy and requires constant innovation. Time and again, we have seen dominant players lose their market-share for not keeping up with the market’s relentless pace. From a management standpoint, how do you nurture a culture of innovation at Tokyo Electron?

Continuous investments into R&D is not just important, it is necessary for success. Tokyo Electron is a manufacturer and products are the lifeblood of our business. It is important for TEL to maintain its world-leading capacity for technological innovation. We will continuously create next-generation products with high added value that our customers will need in the future to increase short-, medium-, and long-term profits and continuously enhance our corporate value.

To contribute to the technological innovation of semiconductors for both digitalization and decarbonization, we will continue to invest in research and development. Over the past five years, we have poured about JPY 600 billion into R&D. Within the next five years, we plan to invest more than JPY 1 trillion into R&D. What is important here is to continue our investments regardless of macro-economic fluctuations which lead to the achievement of the previous fiscal year's business results and the mid-term management plan. We intend to invest more than 1 trillion yen over the next 5 years to achieve the new medium-term management plan.

 

Semiconductor production is exclusively conducted in clean room environments. To eliminate potential sources of contamination, TEL has developed a “Yield Enhancement Technology” which can suppress nano-sized particles. Experts have described this process as ‘trying to clean a baseball field until not a single grain of sand remains.’ What are some of the challenges still to be overcome in order to succeed in the full decontamination of semiconductor production?

When it comes to decontamination technology, removing micro-sized particles is, quite literally, an endless pursuit. Decontamination is a continuous challenge with no real end in sight. The only way to respond to this endless pursuit is to continually provide new solutions year after year, after year, after year...

As I mentioned previously, the ultimate goal of semiconductor innovation is to make chips that have higher speed, higher reliability, a larger capacity and a lower energy consumption. To achieve this goal, we must increase the productivity and processing capacity of semiconductor production equipment. This will allow the industry as a whole to enhance its cost and lead time per unit, a crucial feature to answer to society’s future needs.

 

Is there a particular goal that you would like to achieve during your time as president of TEL?

I am not sure how long I will be president! That being said, we established a new 10-year vision. As a midpoint target towards this 10-year vision, we seek to achieve over JPY 3 trillion in sales with an operating margin of over 35% and an ROE of over 30%.

If we look at the prime section of the Tokyo Stock Exchange, only 49 companies exceed JPY 3 trillion in revenue, and only 6 exceed JPY 1 trillion yen in operating income. (as of July, 2022) However, no company on the prime section of the Tokyo Stock Exchange has a revenue of over JPY 3 trillion with an operating margin of more than 35%. I want to pursue a world-class profit ratio, so this is the mid-term target we have set ourselves.

However, we are a little behind some of our global peers. A second objective is to have the number one share of the market for all of our product segments. Achieving these numbers will be the proof that we have realized our vision of becoming a world leader in semiconductor technological innovation.

  0 COMMENTS